CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl pwm

搜索资源列表

  1. FPGAPWM

    0下载:
  2. 使用VHDL设计基于CPLD_FPGA逆变电源的PWM波形-Using the VHDL design is based on the PWM inverter waveform CPLD_FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:41576
    • 提供者:田龙敬
  1. final

    0下载:
  2. nice control program pwm
  3. 所属分类:MultiLanguage

    • 发布日期:2017-04-01
    • 文件大小:893339
    • 提供者:adhominen
  1. VGAPWM

    0下载:
  2. FPGA PWM control and VGA display use VHDL language with simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:309701
    • 提供者:xiaominjin
  1. FPGA_SOPC_PWM

    0下载:
  2. 将此文件解压缩,会得到一个"ip"目录,将此目录放入你的项目中,就可以在sopc中import到一个叫pwm的组建了。解压缩还会得到一个C语言文件,它是与硬件配合的Nios2_C代码 -Extract this file will get an " ip" directory into this directory in your project, you can import into the sopc in the formation of a called pwm.
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-01
    • 文件大小:4765
    • 提供者:于艳超
  1. pwm

    0下载:
  2. vhdl model for a 3 phase system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2166
    • 提供者:dante
  1. PWM_GEN

    0下载:
  2. 这是Altera PWM生成的一个实例。包含project文件,源代码,仿真文件。经过验证,实际可用。-This is an example of Altera PWM generated. Contains the project files, source code, simulation files. After verification, the actual available.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:250384
    • 提供者:洛空奇
  1. Pwmleddim

    0下载:
  2. This PWM Coltrolled Led Light Processing Alogothim we are sometimes nead a Led bight that is controlled with PWM and I Use this Algoritm to make various Led Light source -This is PWM Coltrolled Led Light Processing Alogothim we are s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2841
    • 提供者:jeong
  1. PWM

    0下载:
  2. PWM generator made in VHDL
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:1940
    • 提供者:pumaju
  1. an501_design_example

    0下载:
  2. 在MAX2系列CPLD上实现脉冲宽度调制(PWM),完整的设计成程序和仿真结果。-In the MAX2 series CPLD to realize pulse width modulation (PWM), a complete design and simulation results into the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259188
    • 提供者:王志慧
  1. pwm

    0下载:
  2. 采用VHDL编写的步进电机控制程序。运行200步后停止-Prepared using VHDL stepper motor control program. After running 200 steps to stop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3314
    • 提供者:邓志远
  1. pwm

    0下载:
  2. 用VHDL语言 描述 生成pwm的 IP核-Pwm using VHDL language to describe the generation of IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:844
    • 提供者:chong
  1. pwm

    0下载:
  2. PWM脉冲产生代码,程序采用VHDL硬件描述语言!很有参考价值-PWM pulse generation code, the program using VHDL hardware descr iption language! Useful reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:76376
    • 提供者:周涛
  1. PWMcore

    1下载:
  2. 基于xilinx FPGA软核microblaze编写的PWM波产生IP核,在EXCD开发板上调试通过,内附UCF文件和说明-it s an IP core based on microblaze,it can produce pwm wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:17748
    • 提供者:JK
  1. bijiaoqi

    0下载:
  2. 比较器、加法器、pwm的VHDL描述 可以用作正弦变方波的器件 比较器经改变可做pwm-compare by vhdl ,use as changing sin to squart signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4920055
    • 提供者:王鹏
  1. pwm_timer

    0下载:
  2. PWM和Timer的FPGA实现,文档代码齐全。-PWM and Timer for FPGA implementation, documentation, code complete.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:271429
    • 提供者:kele
  1. PWM

    0下载:
  2. PWM Source Code in VHDL For FPGA Devices
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:484205
    • 提供者:saber
  1. samlecode.vhdl

    0下载:
  2. THis code describes how to use the pwm singal generator and how to generate this using VHDL>
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:17276
    • 提供者:Jas
  1. siqupwm

    0下载:
  2. PWM的死区控制模块CLK delaywave-PWM control module dead
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:612
    • 提供者:徐昇龙
  1. pwm_out

    0下载:
  2. PWM输出控制LED显示,由两个按键控制FPGA输出脉冲的占空比,脉冲去直接驱动发光二极管LED,随占空比不同,LED的亮度也不同-PWM output control LED display, two buttons control the FPGA output pulse duty cycle, pulse to directly drive LEDs LED, with different duty cycle, LED brightness is different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:40423
    • 提供者:王砂
  1. pwm

    0下载:
  2. 一个用vhdl语言编程的可以实现pwm方波的简单程序-A programming language with vhdl pwm square wave can be achieved simple program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:554727
    • 提供者:alice
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com